数字基带信号发生器的设计 下载本文

数字基带信号发生器的设计

摘要:设计一个基于FPGA的数字基带信号发生器,首先简要介绍了单极性非归零码、双极性非归零码、单极性归零码、双极性归零码、差分码、交替极性码、分相码、传号反转码、三阶高密度双极性码等基带码的基本特点,然后根据码型转换原理设计发生器模块。由于EDA技术可以简化电路,集成多块芯片,减小电路体积,所以程序采用VHDL进行描述,并用maxplusII软件仿真实现所有功能,最后将功能集成到FPGA上,并设计电路、制作实物,产生的基带码稳定、可靠,可满足不同数字基带系统传输需要。

关键词:数字基带码;EDA;VHDL;PCB;FPGA

Digital base-band signal generator design

Profession:Electronics and information science and technology

Author:DengChuxian instructor:ZhanJie

Abstract: FPGA-based design a letter-number generator with, first of all we briefly introduce unipolar NRZ code, bipolar NRZ, Unipolar zero yards code, bipolar zero yards code, differential code , alternating polarity code, phase code, code-reversal, and third-order high-density bipolar codes etc. Then we design generator module under the code-conversion design principles. As EDA technology can make circuit simple, integrate multiple chips, reduce the size of circuits, so we take advantage of VHDL to describe it and use maxplusII software to simulate .Finally we integrate all the fetures into the FPGA, and design circuit to generate stable and reliable base-band code to meet the different base-band digital transmission system needs.

Key words: digital base-band code; EDA; VHDL; PCB; FPGA

目 录

1

摘要..................................................................................I Abstract...............................................................................I 1 数字基带信号........................................................................3 1.1 数字基带信号的码型设计原则......................................................3 1.2 非归零码(NRZ码).................................................................3 1.2.1 单极性.......................................................................3 1.2.2 双极性.......................................................................3 1.3归零码(RZ码).....................................................................3 1.3.1 单极性.......................................................................4 1.3.2 双极性.......................................................................4 1.4 差分码..........................................................................4 1.5 交替极性码(AMI码)...............................................................5 1.6 分相码(曼彻斯特码)...............................................................5 1.7 传号反转码(CMI码)...............................................................5 1.8 三阶高密度双极性码(HDB3码)......................................................6 2 EDA概述............................................................................6 2.1 硬件描述语言....................................................................7 2.1.1 Verilog-HDL...................................................................7 2.1.2 VHDL........................................................................7 2.2 可编程逻辑器件..................................................................8 2.3 EDA软件.........................................................................8 3 软件与硬件的设计....................................................................9 3.1 VHDL程序设计...................................................................9 3.2 模拟电路的设计.................................................................14 3.2.1 电源的设计..................................................................14 3.2.2 时钟信号的产生..............................................................15 3.2.3 CC4052双4选1模拟开关.......................................................15 3.3 PCB制作........................................................................17 4 总结...............................................................................18 参考文献.............................................................................19 致谢.................................................................................19

1 数字基带信号

2

数字基带信号是数字信息的一种表现形式,被用于数字基带传输系统。可以用不同电压或电流的代码来表示基带码。不同形式的基带码具有不同的频谱结构,合理地设计基带码是基带传输首先要考虑的问题[1]。

1.1 数字基带信号的码型设计原则[2]

(1)对于传输频率很低的信道来说,线路传输码型的频谱中应不含直流分量。

(2)可以从基带信号中提取位定时信号。在基带传输系统中,需要从基带信号上提取位定时信息,这就要求编码功率谱中具有位定时线谱。

(3)要求基带编码具有内在检错能力。

(4)码型变换过程应具有透明性,即与信源的统计特性无关。

(5)尽量减少基带信号频谱中的高频分量。这样可以节省传输频带,提高信道的频谱利用率,还可以减少串扰。

1.2 非归零码(NRZ码) [1][3]

非归零码分为两种,即单极性和双极性。

1.2.1单极性:这种传输码的零电平与正电平(或负电平)分别对应于二进制代码中的“0”码与“1”码。他的特点是:脉冲极性单一,有直流分量;脉冲波的占空比为100%,即一个脉冲持续的时间等于一个码元的宽度,在整个码元期间电平保持不变。该码经常在近距离传输时被采用。

图1-1 单极性非归零码

1.2.2 双极性:这种传输码的正、负电平分别对应于二进制代码中的“1”码与“0”码。从信号的一般统计规律看,由于“1”码与“0”码出现的概率相等,所以这种传输码的平均电平为零,即无直流分量。这样在接收端恢复信号时,其判决电平可取为0V,因而可消除因信道对直流电平的衰减而带来判决电平变化的影响。这种传输码还有抗干扰能力强的特点。该码常在CCITT的V系列接口标准或RS232C接口标准中使用。

图1-2 双极性非归零码

1.3 归零码(RZ码) [1][3]

归零码也分为两种,即单极性和双极性。

1.3.1 单极性:与单极性非归零码不同,发送“1”时在整个码元期间高电平只持续一段时间,在码

3