基于FPGA的同步串行接口SPI设计 下载本文

龙源期刊网 http://www.qikan.com.cn

基于FPGA的同步串行接口SPI设计

作者:陈霞 袁兆卫 李伟 付薇 来源:《软件导刊》2012年第09期

摘要:SPI(串行外围设备接口)具有速度快、通信协议简单、占用外部IO口少、稳定可靠等优点,使其广泛应用于数据通信中。介绍了一种基于FPGA的SPI通信协议的实现方法,它的时钟速度、数据位长度、时钟模式均可根据实际需要灵活控制,具有一定的实用价值。 关键词:同步串行接口;SPI;FPGA;Verilog HDL

中图分类号:TP319文献标识码:A文章编号:16727800(2012)009009202 0引言

SPI(串行外围设备接口)是一种广泛使用的串行数据传输协议,主要用于微处理器与外设的高速通讯。由于它速度快,通信协议简单,占用外部IO口少,相对来说较稳定,因此广泛应用于AD转换器、串行EEPROM、高速时钟、FLASH、DDS以及LCD显示驱动等领域。 另外,SPI口的时钟速度、数据位长度、时钟模式可以灵活控制,实质上是一个长度可编程的移位寄存器,为提高其集成性和经济性,经常通过其它芯片模拟SPI。本文介绍了一种基于FPGA设计模拟SPI的方法,完成了SPI的基本功能,具有一定的实用价值。 1SPI协议基础

SPI是一种同步串行通信方式,数据是一位接一位进行传输的,主要是以主从方式工作的。主设备(Master)与从设备(Slavel)之间的连线方式如图1,其中,主设备一个,从设备可为一个或多个。

MOSI:主设备数据输出从设备数据输入;MISO从设备数据输出主设备数据输入;SCK:时钟信号由主设备产生,MOSI、MISO都是基于此时钟信号完成数据传输;SSEL片选控制信号,控制芯片是否被选中成为SPI的从设备,低电平有效。SPI工作时序如图2。 2SPI模块代码设计

本设计中SPI同步串口的设计主要采用硬件描述语言Verilog HDL来完成,由于FPGA模拟SPI主设备的设计与FPGA模拟SPI从设备的设计思路基本一致,而且FPGA模拟SPI主设备的设计更为复杂,所以,下面以FPGA为主设备为例介绍SPI同步串口的实现方法。 FPGA模拟SPI主设备实现的逻辑实现框图如图3所示。它主要包含3个模块的设计:SCK产生模块、发送数据模块、接收数据模块,下面将一一介绍其程序的实现方法。

龙源期刊网 http://www.qikan.com.cn

2.1SCK产生模块

同步时钟信号SCK一般由输入时钟信号CLK分频产生,在FPGA中对时钟进行分频一般有两种方法:锁相环的IP核分频和直接采用Verilog HDL语言分频。SCK的大小可由设计者灵活控制,但前提是一定要满足从设备的时序要求,从设备对SCK一般包含3个方面的限定:SCK的周期最小值、SCK高电平的最小值、SCK低电平的最小值。 2.2数据发送模块

如上图3可知,数据发送模块主要包含3个输入信号时钟信号CLK、复位信号RESET和两个输出信号片选信号SSEL、主设备发送给从设备的串行数据MOSI。

片选控制信号SSEL置低时有效,主设备开始和从设备进行数据交换,数据交换完成的是以字节为基本单位,当FPGA模拟SPI主设备时,字节数可以根据从设备的不同而自动调节。主从设备一般有两种数据交换方式:若主设备在SCK上升沿发送和下降沿接收,则从设备也在SCK上升沿发送和下降沿接收;若主设备在SCK下降沿发送和上升沿接收,则从设备也在SCK下降沿发送和上升沿接收。设计SSEL信号时一般要考虑以下几点:①SSEL到SCK上升沿的最小时间;②SSEL到SCK下降沿的最小时间;③数据交换的字节数。

假若主设备在SCK上升沿发送,数据交换为2个字节(16bit),数据发送模块设计代码的流程如图4。

其中,Txdata为发送的16bit数据寄存器,发送开始时先将i置15,检测到SCK的第一个上升沿时,将片选信号SSEL拉低选中从设备,并将Txdata的最高位Txdata[15]送到MOSI串行线上,在SCK的下一个上升沿再将Txdata的次高位Txdata[14]送到MOSI串行线上,依此类推,一直到将Txdata的最低位Txdata[0]送到MOSI串行线后,若又检测到SCK的上升沿,将片选信号SSEL拉高停止发送。 2.3数据接收模块

数据接收模块与数据发送模块有所不同,主要包含五个输入信号CLK、RESET、SSEL、SCK、从设备发送给主设备的串行数据MISO,无输出信号。同样,以数据交换为2个字节(16bit)为例,SCK下升沿接收数据,数据接收模块设计代码的流程如图5。

其中,Rxdata为16bit的接收缓冲器,SSEL拉低时开始接收数据,一旦检测到SCK下降沿,将MISO上的串行数据存入Rxdata的最低位Rxdata[0]中,当又检测到SCK的下降沿时,将Rxdata左移一位,并再将MISO上的串行数据存入Rxdata[0]中,依此类推,当接收缓冲器 Rxdata全部更新一遍时,若检测到SCK上升沿,将Rxdata 存储值赋给Data_recieved,Data_recieved为最终接收到的16bit数据。 3仿真验证及性能评估

龙源期刊网 http://www.qikan.com.cn

FPGA芯片选择Altera公司的Cyclone II系列的EP2C8T144I8,对整个FPGA为SPI主设备的设计在QuattusII 7.1中进行逻辑综合,发现整个设计仅占用36个查找表的资源,用户可以根据需要集成多个SPI主设备或从设备,FPGA内部时钟最高可达到200MHz,通过分频基本可满足外围大部分的SPI设备对SCK的要求,另外,FPGA具有非常强大的外部接口单元,可以兼容。各种电平的接口,可与大部分的SPI设备直接连接。

在Modelsim SE 6.5中对整个设计进行仿真,其中Txdata为发送的数据,在测试程序中设定,Data_recieved为接收到的数据,整个测试过程就是一个自循环的过程,接收到的数据和发送的数据一致。若txdata=36785 (二进制为1000111110110001),SCK为CLK的十分频,则仿真结果如图6。 4结语

文中介绍了SPI的通信协议及其在FPGA上的代码实现方法。用FPGA实现SPI接口通信,通过对设计代码作少许的修改,SPI的时钟速度、数据位长度、时钟模式都可以根据实际需要灵活控制。另外,SPI串口实现占用的FPGA逻辑资源并不太多,设计者可以根据需要外扩同时连接几个SPI主从设备。总之,用FPGA实现SPI接口通信具有一定的实用价值。 参考文献:

[1]李明.基于FPGA的小型CPU中通信协议的研究及IPCore的开发[D].济南:山东大学,2009.

[2]杨镇首.基于SPI接口的多机扩展和通信方法[J].应用技术,2011(2). (责任编辑:余晓)