EDA设计实验基于VHDL的出租车计费器

EDA设计论文

题 目 基于VHDL出租车计费器设计 学 院 专 业 班 级 学 号 姓 名 指导教师

职 称 讲师

2011年12月21日

摘要:

在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活已逐渐崭露头角。大多数电子产品多是由计算机电路组成。而且将来的不久他们的身影将会更频繁的出现在我们身边。本设计利用VHDL语言、CPLD设计出租车计费系统,以QuartusⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目和路程数目。

引言:

1、VHDL语言

VHDL(Very High Speed Integrated Circuit Hardw are Description Language,超高集成电路硬件描叙语言)诞生于1982年,是由美国国防部开发的一种快速设计电路的工具,目前已经成为IEEE(The Institute of Electrical and Electronics)的一种工业标准硬件描叙语言。VHDL主要用于描述数字系统的结构、行为、功能和接口,非常适合用于可编程逻辑芯片的应用设计。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法十分类似于一般的计算机高级语言。VHDL的程序特点是将一项工程设计,或称为设计实体(可以是个元件、电路模块或一个系统)分成外部(或称可示部分,即端口)和内部(或称为不可视部分,即结构体)两部分,外部负责对设计实体和端口引脚命名和说明,内部负责对模块功能和算法进行描述。在对一个设计实体定义了外部界面后,一旦其内部结构、功能开发完成,即可生成共享功能模块,这就意味着,在顶层综合或其他设计中可以直接调用这个实体模块。VHDL具有较强的行为描述能力,可避开具体的器件结构,从逻辑功能和行为上进行描述和设计。

2、QuartusⅡ6.0简介

Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。

Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、

2

结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。

3、Quartus II软件设计流程

(1) (2) (3) (4) (5) (6) (7) (8) (9) (10)

打开Quartus II软件。

选择路径。注意:工作目录名不能有中文。 添加设计文件。

选择FPGA器件。Family选择Cyclone,240,8。 建立原理图或用VHDL语言描述设计电路。

对原理图或用VHDL语言进行编译,无误后进行添加信号。 对上述电路进行仿真。 进行管脚分配。 全局编译。

采用JTAG或AS模式进行下载测试。

Quartus II软件运行界面

4、可编程逻辑器件简介

FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它

是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路

3

联系客服:779662525#qq.com(#替换为@) 苏ICP备20003344号-4