FPGA课程设计-电风扇的自动定时开关控制器

西安邮电学院

FPGA课程设计报告

题 目:电风扇的自动定时开关控制器 院 系: 电子工程学院 专业班级: 微电子0901 学生姓名: 导师姓名:

起止时间: 2012.6.18 至 2012.6.29

FPGA课程设计报告提纲

1. 任务 运用FPGA

用FPGA开发板的按键作为输入控制键,用数码管显示当前电风扇自动定时状态(包括:自动开/关,工作定时等)。

2. 目的

运用verilog hdl描述设计,在开发板上实现要求。 3. 使用环境 (软件/硬件环境,设备等)

Ep2c35f672c6开发板 4. FPGA课程设计详细内容

4.1 技术规范

4.1.1 功能定义

(1),根据用户需求,通过按键切换来实现风扇定时和普通工作模式。

(2) 普通工作模式时,由手动开关控制电风扇的开关,即当开关打开时,风扇工作; 开关关闭时,风扇停止工作。

(3) 定时模式时,根据设定定时时间来选择电风扇工作时间,比如10 分钟、 20分钟、 30分钟等,并且同步显示剩余工作时间,但是此时用户仍可以通过开关控制提前关掉电扇。

(4) LED 上显示当前工作状态:风扇工作亮,停止工作灭。数码管显示总的设定的时间(小时,分钟)和剩余工作时间。

4.1.2 系统结构框图

Clk 分频模块 In En C_out 自动定时倒计时模块 On 显示模块 Out_H Out_L opt 模式选择控制模块 key

总体设计可以分为以上几个模块,各模块的功能简要介绍: (1) 分频模块:模块的功能是把 50MHz 的系统时钟转换为 1Hz 的时钟,和2Hz的时钟。1Hz的时钟供计数模块的使用。2Hz的时钟供输入定时数值的使用。

(2)模式选择控制模块:选择需要的工作模式。包括两个模式:定时、普通。

(3)自动定时倒计时模块:选择定时模式后,根据键盘的输入值来设定工作时间,在定时时间以内则风扇工作,倒计时结束或开关关闭时风扇自动关闭。

(4)显示模块:LED 上显示当前工作状态:风扇工作亮,停止工作灭。数码管显示总的设定的时间和剩余工作时间。 4.1.3 使用范围

可以用于控制电扇手动控制电风扇工作或停止,也可以按照设定的时

间自动工作或停止。

4.1.4 引脚描述 顶层模块管脚描述

(1)输入开关管脚 信号名称 Clk Op 系统时钟,频率为50MHz 模式选择信号,为1时为定时模式;为0时为普通模式。 In Key Clr Fz En (2) 输出显示管脚 信号名称 Out 功能描述 风扇工作信号,为1是风扇工作,为0时风扇停止工作 Out_3 时间高位数码管输出端,为时钟的十位 Out_2 时间低位数码管输出端,为时钟的个位 Out_1 时间高位数码管输出端,为分钟的十位 Out_0 时间高位数码管输出端,为分钟的十位 4.2 设计方案

4.2.1 概述

此自动定时控制器,可以实现定时模式和普通模式的切换,一共有六个模块。

功能描述 输入输出 位宽 input input 1 1 输入设定时间信号 设定时间后开始计时信号 清零信号 复制信号 开始计时信号 input Input input Input Input 4 1 1 1 1 输入输出 Output 位宽 1 output 7 output 7 output 7 output 7 (1) 分频模块:模块的功能是把 50MHz 的系统时钟转换为 1Hz 的

联系客服:779662525#qq.com(#替换为@) 苏ICP备20003344号-4