基于QuartusII的(7,4)汉明码的编解码器的设计报告

JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY

通信原理课程设计报告

课程设计题目:基于Quartus II的(7,4)汉明码的编解码器的设计 班 级: 学 号: 姓 名:

指导教师姓名: 钱志文 任艳玲 设计地点:

通信电子线路实验与设计报告

目录

序言 …………………………………………………………………………2 第一章 软件简介 ……………………………………………………3 第二章 工作原理 ……………………………………………………4 第三章 基于Quartus II的(7,4)汉明码的编解码器的设计的仿真实现 3.1 仿真方案原理 ……………………………………5 3.2 仿真的功能程序 ……………………………………6 3.3 仿真的结果与分析 ………………………………7 参考文件 …………………………………………………………………10 体会与建议 ………………………………………………………………10 附录 …………………………………………………………………10

1

通信电子线路实验与设计报告

序言

汉明(Hamming)码是一种一种能够纠正一位错码或检测两位错码的一种效率较高的线性分组码。本次课程设计的任务就是利用EDA技术在Quartus II软件下用VHDL语言实现(7,4)汉明码的编译码的设计和仿真。从而进一步加深对汉明码编译码原理的理解。

EDA(Electronic Design Automation技术是随着集成电路和计算机技术飞速发展应运而生的一种高级、快速、有效的电子设计电动化工具。目前,VHDL语言已经成为EDA的关键技术之一,VHDL是一种全方位的硬件描述语言,具有极强的描述能力,能支持系统行为级、寄存器传输级和逻辑门级三个不同层次的设计,支持结构、数据流、行为三种描述形式的混合描述,覆盖面广,抽象能力强,因此在实际应用中越来越广泛。

VHDL语言具有功能强大的语言结构,可用明确的代码描述复杂的控制逻辑设计,并且具有多层次的设计描述功能,支持设计库和可重复使用的原件的生成,近几十年来,EDA技术获得了飞速的发展,它以计算机为平台,根据硬件描述语言VHDL,自动地完成逻辑编译,化简为割、综合及优化,布局布线,仿真直至对特定目标芯片的适配编译,逻辑映射和编程下载等工作,以自顶向下的设计方法,使硬件设计软件化,拜托了传统手工设计的众多缺点,随着EDA技术的深入发展,基于硬件描述语言的方法将由取代传统手工设计方法的趋势。

2

联系客服:779662525#qq.com(#替换为@) 苏ICP备20003344号-4