3-8译码器设计

《EDA技术》课程实验报告

学生姓名: 所在班级: 指导教师:

记分及评价:

报告满分 5分 得分

一、实验名称

实验4:3-8译码器的设计

二、任务及要求

【基本部分】

1、 在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成3-8

译码器的设计并进行时序仿真。

2、 设计完成后生成一个元件,以供更高层次的设计调用。 3、 实验箱上进行验证。 【发挥部分】

设计4-8译码器,完成仿真并封装成一个元件;新建原理图,调用一片74161和所设计的4-8译码器,完成具有16种花样的循环LED灯控制器的设计,并在实验箱上进行验证。

三、实验程序 library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;

entity ky is

port(A :in std_logic_vector(2 downto 0);

Y :out std_logic_vector(7 downto 0)); end entity ky;

architecture ky_a of ky is begin

process(A)

1

张叶 通信1301 黄科老师

begin

case A is

when \ when \ when \ when \ when \ when \ when \ when others => Y<=\ end case; end process;

end architecture ky_a;

四、仿真及结果分析

仿真结果

五、硬件验证

1、 引脚锁定情况表:

2

引脚情况锁定表

六、小结

在本次实验中,老师教我们用QuartusII软件设计并仿真了3-8译码器。学会了使用VHDL语言来实现设计理想,掌握了QuartusII软件的新功能,加深了对3-8译码器的理解,从而能在实际实践中得以灵活运用。

3

联系客服:779662525#qq.com(#替换为@) 苏ICP备20003344号-4