基于FPGA的PN序列捕获的研究

龙源期刊网 http://www.qikan.com.cn

基于FPGA的PN序列捕获的研究

作者:周永福 李传欣

来源:《中国校外教育·理论》2008年第19期

[摘要]本文主要研究了一种PN序列的大步进快速捕获法,该方法解决了低信噪比下长扩频码的捕获和同步问题。利用圆形状态图对大步进快速捕获法的工作原理进行研究和分析,导出由相关处理时间、搜索时间、虚警概率、检测概率和虚警“代价”时间表示的捕获时间平均值及其方差的表达式。将分析结果与单步进搜索方案进行比较,证明了大步进延迟锁定环可以使捕获时间缩短至单步进的m分之一(m=10~100)实现PN码的快速捕获。 [关键词]扩频通信 大步进搜索 PN码捕获 FPGA 一、引言

扩频通信有多种扩频方式,但不论使用何种方式,在整个扩频通信系统中,接收机都是非常关键的。接收机要完成二项关键任务:其一是解扩,也就是将宽带信号恢复为窄带信号;其二是对信号进行解调,对信号的解扩是通过相关技术实现的,能正确解扩的首要条件是收发双方的扩频码具有足够精度的同步。因此,扩频码的同步往往是接收机中最基本,也是难度最大的部分。同步问题可分为两部分:初始捕获和跟踪。跟踪已由延迟锁定环很好地解决了,但扩频码的同步,尤其是在低信噪比情况下长扩频码的快速捕获问题,一直没有一个性能较高又适于实际应用的解决办法。

本论文着重讨论了大步进快速捕获法的原理和性能以及大步进快速捕获延迟锁定环的电路设计,重点是电路中关键部件——多路比较器,PN码发生器和大步进逻辑发生器。本文第2节讨论了伪噪声扩频通信系统中PN码的同步问题。在第3节,具体分析了大步进快速捕获延迟锁定环的环路性能,证明该环路可以使捕获时间缩短至单步进的m分之一(m=10~100),可实现PN码的快速捕获。最后,讨论了大步进延迟锁定环的主要电路设计,应用FPGA对一些结构复杂的电路进行数字化设计。

二、扩频通信中PN码的捕获方法

龙源期刊网 http://www.qikan.com.cn

大步进快速捕获法以及由它构成的大步进快速捕获延迟锁定环不但原理简单,容易实现,并且可以克服以上几种传统捕获方法的缺点,具有捕获时间短(较单步进短一至两个数量级)、捕获灵敏度高的特点,是一种在扩频通信领域有广泛应用前景的PN码捕获方法。 在相干扩频通信系统中,接收端的已调信号一般可以表示为:

在式(1)中 n'(t)白高斯信道噪声,T相对发射机的时延,A输入信号载波幅度,PN(t)伪噪声码,d(t)数据信息码,

载波角频率。

载波初始相位。大步进搜索实现PN码快速

捕获的实质就是将要搜索的q相位单元分为q/m段,每段m个相位单元,用大步进电路使本地PN码逐段移动(即每次步进m个相位单元),每移动一段,做一次m路并列相关判决。由于大步进搜索每次相关判决同时对m个相位进行,而单步进搜索每次相关判决只对一个相位进行,故而大步进的捕获时间较单步进可以缩短1/m实现快捕。

使用大步进搜索方法的大步进快速捕获延迟锁定环的实现机理见图1。图1中R(t)为接收信号,它与m+2路本地PN码相乘,每路代表了一个PN码相位再经窄带滤波与包络检波,得到~这m+2路相关运算结果。

图1大步进快速捕获延迟锁定环的实现机理

(其中:WBPF为宽带滤波器, NBPF为窄带滤波器)

将其中的m路(~)送入多路比较判决电路,与门限Vt比较。当m路相关运算结果都小于判决门限Vt(无相关输出),代表这m个PN码的相位都没有与发端PN码对齐,此时由判决输出端控制大步进电路,使本地PN码大步进m位,进入下一段相关处理;如果m路相关运算结果中有一路超过门限Vt(有相关输出),说明该路(设为第i路)代表的PN码相位已经与发端PN码对齐,此时由判决输出端控制大步进电路,停止大步进。一旦大步进停止,则通过比较输出(1)~(m)控制开关网络将该同步路的滞后路(第i+1路)和超前路(第i-1路)分别接入差分器的正端和负端,闭和延迟锁定环,进入跟踪阶段。

三、大步进快速捕获延迟锁定环捕获性能分析

1.平均捕获时间和捕获方差计算

龙源期刊网 http://www.qikan.com.cn

假设有q个相位单元要搜索,而且步进量是一个码元宽度(Tc秒),于是q等于被搜索PN码的周期长度。在大步进快速捕获延迟锁定环中,并列乘法器的每一路性能都相同,相关处理时间都为λTc秒。大步进m个码片所需的时间为mTc秒。检测概率为PF,虚警概率为PD,产生一次虚警的“代价”时间为KTc秒(K的计算在下面的分析中给出)。相关结果超过门限值VT称为“命中”。如果得到一次真实的“命中”,系统就捕获到信号,搜索过程完成。 假设相关器输出高于门限VT(此时认为发现一次“命中”),于是本地PN码相位驻留,判决比较电路继续对相关器输出与门限VT作比较。如果相关器输出未高于门限VT(此时认为未发现“命中”),则本地PN码相位立刻大步进m位,进入下段搜索。在这种假设下,可推出虚警“代价”时间KTc中的K为: (2)

大步进快速捕获延迟锁定环的搜索过程可以看作一个随机马尔科夫过程,其生成函数的圆形状态图如图2所示。

图2 LSDLL圆形状态流程图

实际中,m取10~100,q>>m,所以N满足N>>1。λ的取值可与q相比(为使捕获灵敏度高,λ一般>>q),故认为λ>>m(λ>>m意味着大步进m位所需时间mTc与相关处理时间λTc相比很小,可忽略)。此时,可以得到捕获时间的均值和方差[4],[5],[6]:

如果要采用单步进搜索方法,则平均捕获时间,捕获时间方差在步进量为一个码元q>>1条件下的近似值由下面两式给出: (6)

比较式(3)与式(5)、式(4)与式(6)可知,在相同的PF和PD下,大步进方案捕获时间平均值近似为单步进搜索时间平均值的N/q=1/m倍,捕获时间方差相应比值近似为N2/q2=1/m2。 在实际系统中取m=10~100,故采用大步进快速捕获延迟锁定环可以成数量级地缩短捕获时间,这正是我们期望的。

四、大步进快速捕获延迟锁定环的FPGA实现

联系客服:779662525#qq.com(#替换为@) 苏ICP备20003344号-4