基于FPGA的DDS信号发生器设计

课程设计任务书

2012~2013学年第1学期

一、课程设计题目:基于FPGA的DDS信号发生器设计

二、课程设计内容(含技术指标)

① 利用DDS技术产生稳定的正弦波、方波和三角波,输出频率为

1Hz~200KHz,且频率可调,步进为1Hz、100Hz、1KHz和10KHz,峰值为0~5V;

② 显示电路用来显示输出信号的参数;

③ 4×4键盘用来设定频率、步进、清零、确认等功能;

④用Verilog HDL进行建模和模拟仿真,再利用FPGA进行实现。

三、进度安排

序号 1 2 3 4 5 6 7 8 9 10 11 名 称 掌握相关FPGA最小系统的知识 掌握用于设计DDS信号发生器的Verilog HDL编程知识 掌握矩阵键盘、DA芯片、功率放大电路和滤波电路的设计 根据DDS信号发生器的工作原理设计电路图 学会借用电子线路CAD正确绘制电路图; 掌握电子器件的安装工艺及焊接技术 掌握DDS信号发生器的软件下载与调试 了解电子电路板的制作过程 学习电路原理图及印制电路板图的读图方法 掌握DDS信号发生器的测试 书写电子技术课程设计报告 时 间 一 天 一 天 一天 一 天 一 天 半 天 一 天 半 天 一 天 一 天 一 天

四、基本要求

1.基本功能:利用DDS技术产生稳定的正弦波、方波和三角波,用数码管显示频率。

2.扩展功能:能产生ASK、FSK和PSK等调制信号,输出用12864液晶显示信号的波形、频率和幅度。

3.写出设计报告:不少于5000字,统一复印封面并用A4纸写出报告。

1封面、课程设计任务书 ○

2摘要,关键词(中英文) ○

3方案选择,方案论证 ○

4系统功能及原理。(系统组成框图、电路原理图) ○

5各模块的功能,原理,器件选择 ○

6结果分析 ○

7设计小结 ○

8附录---参考文献 ○

2012年9 月1日

摘 要

波形发生器己成为现代测试领域应用最为广泛的通用仪器之一,代表了波

形发生器的发展方向。随着科技的发展,对波形发生器各方面的要求越来越高。近年来,直接数字频率合成器(DDS)由于其具有频率分辨率高、频率变换速度快、相位可连续变化等特点,在数字通信系统中已被广泛采用而成为现代频率合成技术中的佼佼者。

本次设计的是多功能信号发生器,它能够产生方波,三角波,锯齿波和正弦波四种基本波形。结合DDS技术,通过对FPGA的编程实现产生多种波,本电路是通过键盘扫描判断,进入相应的功能程序,然后实现频率调节,波形转换,幅度控制的。本次设计中我负责的是波形输出模块,通过调节要输出方波,三角波,锯齿波和正弦波四种基本波形。

关键字:波形发生器,直接数字频率合成器, 现场可编程门阵列

联系客服:779662525#qq.com(#替换为@) 苏ICP备20003344号-4