Quartus II 13.1与自带modelsim_Altera 10.0d仿真软件的联调

Quartus II 13.1与自带modelsim_Altera 10.0d仿真软件的联调

Step1

1、 编写好Verilog代码后,编译(点

),直到没有错误为止;

2、 接下来生成testbench文件,Processing ? Start ? Start Test Bench Template Writer,

注意下方Message栏中,EDA Netlist Writer是否生成成功,成功的提示如下:

Step2

1、 接下来完成调用modelsim_Altera 10.0d的设置

1. 首先要在quartus 2中正确填入modelsim程序的地址:

Tools ? Options ? General ? EDA Tool Options ,如下图:

2. 因为我调用的是Modelsim-Altera,所以填入Modelsim-Altera程序所在地址:

D:\\altera\\13.1\\modelsim_ase\\win32aloem(注:一般只是盘符不一样,我装在D盘,如果你装在C盘,那地址就是C:\\altera\\13.1\\modelsim_ase\\win32aloem) 3. 接下来,就是正确载入测试文件了,载入前,先要修改testbench:(测试文件只

是模板,要自己加入时钟信号,输入信号,即添加激励,否则无法出现仿真波形) Assignments ? Settings 出现如下界面:

i.

勾选下图的小方格,当在Quartus中编译快完成时,自带调出Modelsim_Altera;

ii.

如果你的代码是用Verilog写的,则此处要选Verilog HDL;

iii.

下面这个选项是仿真时间单位:

`timescale 仿真时间单位/时间精度 (eg: `timescale 100ns/10ns)仿真时间单位和时间精度,就比如常见的刻度尺,仿真时间单位以cm为单位,时间精度以mm为单位,所以仿真时间单位是大于或等于时间精度的。 iv.

选择Compile test bench ,点Test Benches…

v.

出现下图,点New…

vi.

出现下图:

联系客服:779662525#qq.com(#替换为@) 苏ICP备20003344号-4